発表文献リスト(1989-2018)

初版作成日 : 2001年3月15日
最終更新日 : 2019年8月30日

学術論文


1. K. Matsuyama, K. Nakamura, H. Asada, T. Suzuki, K. Fujimoto, and S. Konishi,"Controlling Bloch lines and domain chopping for multiple stripes aligned in parallel",Journal of Applied Physics,Volume 63, Issue 8, pp. 3171-3173,April 1988.
2. M.Takada,K.Nakamura, T.Takeshima, K.Furuta, T.Yamazaki, K.Imai, S.Ohi, Y.Sekine, Y.Minato and H.Kimoto, "A 5ns 1Mb ECL BiCMOS SRAM", IEEE Journal of Solid-State Circuits, Vol.25, pp.1057-1062, Oct, 1990.
3. K.Nakamura, M.Takada, T.Takeshima, K.Furuta, T.Yamazaki, K.Imai, S.Ohi, Y.Sekine, Y.Minato and H.Kimoto, "Logic Functional Level Converter for High Speed Address Decoder of ECL I/O BiCMOS SRAMs", IEICE Transactions on Electronics, Vol.E74, No.4, Apr.1991.
4. K.Nakamura, T.Oguri, T.Atsumo, M.Takada, A.Ikemoto, H.Suzuki, T.Nishigori and T.Yamazaki, "A 6ns ECL 100K I/O and 8ns 3.3V TTL I/O 4Mb BiCMOS SRAM", IEEE Journal of Solid-State Circuits, Vol.27, pp.1504-1510, Nov, 1992.
5. K.Nakamura, S.Kuhara, T.Kimura, M.Takada, H.Suzuki, H.Yoshida and T.Yamazaki, "A 220MHz Pipelined 16Mb BiCMOS SRAM with PLL Proportional Self-Timing Generator", IEEE Journal of Solid-State Circuits, Vol.29, pp.1317-1322, Nov, 1994.
6. N.Yamashita, T.Kimura, Y.Fujita, Y.Aimoto, T.Manabe, S.Okazaki, K.Nakamura and M.Yamashina, "A 3.84GIPS Integrated Memory Array Processor LSI with 64 Processing Elements and a 2Mb SRAM", IEEE Journal of Solid-State Circuits, Vol.29, pp.1366-1343, Nov. 1994.
7. Y.Fujita, N.Yamashita, T.Kimura, K.Nakamura and S.Okazaki, "A 3.84GIPS Integrated Memory Array Processor", IEICE transactions on Systems and Computers, Vol.J78-D-I, No.2, pp.82-90, 29, Feb. 1995.
8. M.Takada, K.Nakamura and T.Yamazaki, "High Speed Sub-micron Bi-CMOS Memory", IEEE Transactions on Electron Devices, March. 1995.
9. T.Kimura, K.Nakamura, Y.Aimoto, T.Manabe, N.Yamashita, Y.Fujita, S.Okazaki, and M.Yamashina, "Design of 1.28-GB/s Bandwidth 2-Mb SRAM for Integrated Memory Array Processor Application", IEEE Journal of Solid-State Circuits, Vol.30, pp.637-643, June. 1995.
10. K.Nakamura, S.Kuhara, T.Kimura, M.Takada, H.Suzuki, H.Yoshida and T.Yamazaki, "PLL Timing Design Techniques for Large-scale, High-speed, Low-cost SRAMs", IEICE Transactions on Electronics, Vol.E78-C, No.7, July.1995.
11. H.Toyoshima, S.Kuhara, K.Takeda, K.Nakamura, H.Okamura, M.Takada, H.Suzuki, H.Yoshida, and T.Yamazaki, "A 6-ns, 1.5-V, 4-Mb BiCMOS SRAM", IEEE Journal of Solid-State Circuits, Vol.31, pp.1610-1617, Nov. 1996.
12. K.Nakamura, K.Takeda, H.Toyoshima, K.node, H.Ohkubo, T.Uchida, T.Shimizu, T.Itani, K.Tokashiki and K.Kishimoto,"A 500MHz 4Mb CMOS Pipe-line Burst Cache SRAM with Point-to-Point Noise Reduction Coding I/O", IEEE Journal of Solid-State Circuits, Nov. 1997
13. M.Fukaishi, K.Nakamura, M.yotsuyanagi et.al., ”A 4.25Gbps CMOS Fiber Channel Transceiver with Asynchronous Tree-type Demultiplexer and Frequency Conversion Architecture”, IEEE Journal of Solid-State Circuits, Vol.33,pp2139-2147,Dec. 1998.
14. M,Kurisu, M.Fukaishi, H.Asazawa, M.Nishikawa, K.Nakamura, M.Yotsuyanagi,”Design Innovation for Multi-Gigaherz-Rate Communication Circuits with Dee-Submicron CMOS Technology“, IEICE Transactions on Electronics, Vol.E82-C, No.3, March. 1999.
15. M.Fukaishi, K.Nakamura, H.Heiuchi, Y.Hirota, Y.Nakazawa, H.Ikeno, H.Hayama and M.Yotsuyanagi, “A 20-Gb/s CMOS Multichannel Transmitter and Receiver Chip Set for Ultra-High Resolution Digital Displays”, IEEE Journal of Solid-State Circuits, Vol.35,pp.1611-1618,Nov. 2000.
16. K.Yamaguchi, M.Fukaishi, T.Sakamoto, A.Akiyama, K.Nakamura., "A 2.5GHz 4-phase Clock Generator with Scalable No-Feedback-Loop Architecture",IEEE Journal of Solid-State Circuits, Vol.36,pp.1666-1672,Nov. 2001.
17.小池, 田辺, 山田, 豊島,中村,"強誘電体メモリ(FeRAM)の長期データ保持特性テスト法", 電子情報通信学会論文誌 C,Vol. J86-C No. 8 pp. 902-912, Aug 2003.
18. H. Qin, T. Sasao, M. Matsuura, K. Nakamura, S. Nagayama and Y. Iguchi "A realization of multiple-output functions by a look-up table ring," IEICE Transactions on Fundamentals of Electronics, Vol.E87-A, Dec. 2004, pp.3141-3150.
19. K. Nakamura, T. Sasao, M. Matsuura, K. Tanaka, K. Yoshizumi, H. Nakahara and Y. Iguchi, "A memory-based programmable logic device using look-up table cascade with synchronous static random access memories," Japanese Journal of Applied Physics, Vol. 45, No. 4B, 2006, pp. 3295-3300. April, 2006.
20. Y. Kohara, M. Asano, Y. Kawakami, Y. Uchida, H. Koike, K. Nakamura, "An Optimal Design Method for Complementary Metal Oxide Semiconductor Even-Stage Ring Oscillators Containing Latches", Japanese Journal of Applied Physics, Vol. 49, Issue 4, pp.04DE15-04DE15-6. April. 2010.
21. H. Morimoto, H. Koike, K. Nakamura, "An Electrically Adjustable 3-Terminal Regulator for Post-Fabrication Level-Trimming with a Reliable 1-wire Serial I/O", IEICE TRANSACTIONS on Electronics Vol.E94-C No.6 pp.945-952, DOI:10.1587/transele.E94.C.945, June. 2011.
22. Hiroyuki Morimoto, Hiroaki Goto, Hajime Fujiwara, Kazuyuki Nakamura, "Complementary Metal Oxide Semiconductor Operational Amplifier Offset Calibration Technique Using Closed Loop Offset Amplifier and Folded-Alternated Resistor String Digital-to-Analog Converter",Japanese Journal of Applied Physics,Vol.51 No.2, pp02BE10,02BE10-6,DOI:10.1143/JJAP.51.02BE10,Feb. 2012
23. Takahiro Kondo, Hiromasa Yamamoto, Satoko Hoketsu, Hitoshi Imi, Hitoshi Okamura, Kazuyuki Nakamura, "Ratioless full-complementary 12-transistor static random access memory for ultra low supply voltage operation",Japanese Journal of Applied Physics,Vol.54 No.4S, pp04DD11-1,04DD11-6,DOI:10.7567/JJAP.54.04DD11,April. 2015
24. Yusuke Kohara, Naoya Kubo, Tomofumi Nishiyama, Taiki Koizuka, Mohammad Alimudin, Amirul Rahmat, Hitoshi Okamura, Tomoyuki Yamanokuchi, Kazuyuki Nakamura, "Self-stabilization techniques for intermediate power level in stacked-Vdd integrated circuits using DC-balanced coding methods",Japanese Journal of Applied Physics,Vol.55 No.4S, pp04EF06-1-7,DOI:10.7567/JJAP.55.04EF06,April. 2016
25. Daisuke Nishikata, Mohammad Alimudin Bin Mohd Ali, Kento Hosoda, Hiroshi Matsumoto, Kazuyuki Nakamura, "Design and measurement of fully digital ternary content addressable memory using ratioless static random access memory cells and hierarchical-AND matching comparator",Japanese Journal of Applied Physics,Vol.57 No.4S, pp04FF11-1-5,DOI:10.7567/JJAP.57.04FF11,March 19, 2018


学位論文


1. 中村和之、"SRAM LSIの高速化回路方式と設計法に関する研究"、学位論文(九州大学大学院システム情報科学研究科)1997年11月


国際学会発表


1. M.Takada, K.Nakamura, T.Takeshima, K.Furuta, T.Yamazaki, K.Imai, S.Ohi, Y.Fukuda, Y.Minato and H.Kimoto, "A 5ns 1Mb ECL BiCMOS SRAM", 1990 ISSCC Digest of Technical Papers, San Francisco, TPM 8.7, pp138-139, Feb, 1990.
2. M.Takada, K.Nakamura, T.Takeshima, K.Furuta, T.Yamazaki, K.Imai, S.Ohi, Y.Fukuda, Y.Minato and H.Kimoto, "A 5ns 1Mb BiCMOS SRAM with ECL Interface", 1990 ISCAS, Dallas, May, 1990.
3. K.Nakamura, T.Oguri, T.Atsumo, M.Takada, A.Ikemoto, H.Suzuki, T.Nishigori and T.Yamazaki, "A 6ns 4Mb ECL I/O BiCMOS SRAM with LV-TTL Mask Option", 1992 ISSCC Digest of Technical Papers, San Francisco, FA13.4, pp212-213, Feb, 1992
. 4. H.Suzuki, T.Nishigori, T.Yamazaki, K.Nakamura, T.Oguri, T.Atsumo, M.Takada and A.Ikemoto, "A Stacked Emitter Polysilicon (STEP) Bipolar Technology for 16Mb BiCMOS SRAMs", IEEE 1992 Bipolar Circuits and Technology Meeting Proceedings, 4.6, pp.100-103, Oct, 1992.
5. K.Nakamura, S.Kuhara, T.Kimura, M.Takada, H.Suzuki, H.Yoshida and T.Yamazaki, "A 220MHz Pipelined 16Mb BiCMOS SRAM with PLL Proportional Self-Timing Generator", 1994 ISSCC Digest of Technical Papers, San Francisco, Feb.1994.
6. N.Yamashita, T.Kimura, Y.Fujita, Y.Aimoto, T.Manabe, S.Okazaki, K.Nakamura and M.Yamashina, "A 3.84GIPS Integrated Memory Array Processor LSI with 64 Processing Elements and 2Mb SRAM", 1994 ISSCC Digest of Technical Papers, San Francisco, Feb.1994.
7. K.Nakamura, S.Kuhara, T.Kimura, M.Takada, H.Suzuki, H.Yoshida and T.Yamazaki, "PLL Timing Design Techniques for Large-scale, High-speed, Low-power and Low-cost SRAMs", Proceeding of 1994 Custom Integrated Circuit Conference, San Diego, May.1994.
8. T.Yamazaki, H.Suzuki, T.Nishigori, K.Nakamura, T.Oguri, T.Atsumo, M.Takada and A.Ikemoto, "A High Performance 0.4um BiCMOS Technology for 16Mb BiCMOS SRAM's", European Solid-State Device Research Conference (ESSDERC), Sept, 1994.
9. Y.Fujita, N.Yamashita, T.Kimura, K.Nakamura and S.Okazaki, "An SIMD Type Integrated Memory Array Processor (IMAP)", International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN), Dec. 1994.
10. S.Kuhara, H.Toyoshima, K.Takeda, K.Nakamura, H.Okamura, M.Takada, H.Suzuki, H.Yoshida, and T.Yamazaki, "A 6-ns, 1.5-V, 4-Mb BiCMOS SRAM", 1996 ISSCC Digest of technical Papers, San Francisco, Feb,1996.
11. K.Nakamura and Mark. A. Horowitz,"A 50% Noise Reduction Interface Using Low-weight Coding", 1996 Symposium on VLSI Cricuits, pp.144-145, Hawaii, June,1996
12. K.Nakamura, K.Takeda, H.Toyoshima, K.node, H.Ohkubo, T.Uchida, T.Shimizu, T.Itani, K.Tokashiki and K.Kishimoto,"A 500MHz 4Mb CMOS Pipe-line Burst Cache SRAM with Point-to-Point Noise Reduction Coding I/O",1997 ISSCC Digest of Technical Papers, pp.406-407, San Francisco, Feb.1997
13. M.Fukaishi, K.Nakamura, M.Yotsuyanagi, et.al., ”A 4.25Gbps CMOS Fiber Channel Transceiver with Asynchronous Binary Tree-type Demultiplexer and Frequency Conversion Architecture”, 1998 ISSCC Digest of technical Papers, San Francisco, pp306-307,Feb,1998.
14. K.Nakamura, M.Fukaishi, M.Yotsuyanagi et al.,”A 6Gbps 0.18um CMOS Phase Detecting DEMUX Module Using Half-Frequency Clock”, 1998 Symposium on VLSI Cricuits, Hawaii, pp196-197,June,1998
15. M.Fukaishi, K.Nakamura, M.Yotsuyanagi, et.al., ” A 20-Gb/s CMOS Multi-Channel Transmitter and Receiver Chip Set for Ultra-High Resolution Digital Display”, 2000 ISSCC Digest of technical Papers, San Francisco, pp.260-261,Feb,2000.
16. K.Nakamura, M.Fukaishi, M.Yotsuyanagi et al.,” A CMOS 50% duty cycle repeater using complementary phase blending”, 2000 Symposium on VLSI Cricuits, Hawaii, pp48-49, June,2000
17. T.Tanahashi, K.Nakamura et.al., ” A 2Gb/s 21CH Low-Latency Transceiver Circuit for Interprocessor Communication”, 2001 ISSCC Digest of technical Papers, San Francisco,pp60-61,Feb,2001.
18. K.Yamaguchi, M.Fukaishi, T.Sakamoto, A.Akiyama, K.Nakamura., ”A 2.5GHz 4-phase Clock Generator with Scalable and No Feedback Loop Architecture”, 2001 ISSCC Digest of technical Papers, San Francisco,pp398-399,Feb,2001.
19. K. Minami, M. Fukaishi, M. Mizuno, H. Onishi, K. Noda, K. Imai, T. Horiuchi, H. Yamaguchi, T. Sato, K. Nakamura and M.Yamashina,"A 0.10um CMOS, 1.2V, 2GHz Phase-Locked Loop with Gain Compensation VCO ",IEEE Custom Integrated Circuits Conf. (CICC), pp. 213?216, May 2001.
20. K. Takeda, Y.Aimoto, K.Nakamura, S.Masuoka, K.Ishikawa, K.Noda,T.Takeshima, T.Murotani, "Quasi-worst-condition built-in-self-test scheme for 4-Mb loadless CMOS four-transistor SRAM macro" 2001 Symposium on VLSI Circuits, 2001. Digest of Technical Papers. pp229-230, June 2001.
21. T.Fukumoto, H.Okada, K.Nakamura, "Optimizing Bias Circuit Design of Cascode Operational Amplifiers for Wide Dynamic Range Operations" 2001 International Symposium on Low Power Electronics and Design, August,2001.
22. T.Yoshikawa, I.Hatakeyama, K.Miyoshi, K.Kurata, J.Sasaki, N.Kami, T.Sugimoto, M.Fukaishi, K.Nakamura, K.Tanaka, H.Nishi, T.Kudoh,"Optical interconnection as an IP macro of a CMOS library", Proceedings of the Ninth Symposium on High Performance Interconnects (HOTI '01),pp.31-35,Aug.2001.
23. M.Takamiya, M.Mizuno, K.Nakamura, ”An On-Chip 100GHz Sampling 8-channel Sampling-Oscilloscope Macro with Embedded Sampling-Clock Generator”, 2002 ISSCC Digest of technical Papers, pp182-183,Feb 2002.
24. K. Nakamura, T. Sasao, M. Matsuura, K. Tanaka, K. Yoshizumi, H. Qin, and Y. Iguchi, "Programmable logic device with an 8-stage cascade of 64K-bit asynchronous SRAMs," Cool Chips VIII, IEEE Symposium on Low-Power and High-Speed Chips, April 20-22, 2005, Yokohama, Japan
25. K. Nakamura, T. Sasao, M. Matsuura, K. Tanaka, K. Yoshizumi, H. Nakahara, and Y. Iguchi, "A memory-based programmable logic device using a look-up table cascade with synchronous SRAMs,”2005 International Conference on Solid State Devices and Materials (SSDM 2005),Kobe, Japan, Sep. 2005.
26. K.Nakamura, M.Asano, Y.Kohara, H.Koike, "An Optimal Design Method for Even-Stage Ring Oscillators with a CMOS Latch", International Conference on Solid-State Devices and Materials (SSDM), 2008.9.25.
27. K.Nakamura, K.Noda, H.Koike, "Static Noise Margin Evaluation Method Based on Direct Polynomial-Curve-Fitting with Universal SRAM Cell Inverter TEG Measurement", International Conference on Microelectronic Test Structures (ICMTS), 2009.3.31.
28. Y. Kohara, Y. Kawakami, Y. Uchida, H. Koike, K. Nakamura, "An Optimal Design Method for CMOS Even-Stage Ring Oscillators Containing Plural Latches", 2009 International Conference on Solid State Devices and Materials(SSDM), 2009.10.8
29. H. Morimoto, H. Koike, K. Nakamura, "An Electrically Adjustable 3-Terminal Regulator with Post-Fabrication Level-Trimming Function", 15th Asia and South Pacific Design Automation Conference (ASP-DAC), pp365-366, 2010.1.20
30. Y. Hirakawa, N. Mimura, A. Motomura, K. Nakamura, "An Experimental Verification of the Design Margin Analysis Method for Even-Stage Ring Oscillators with CMOS Latch", 2011 International Conference on Solid State Devices and Materials(SSDM), pp.186-187, @Nagoya Japan, 2011.9.29
31. H. Morimoto, H. Goto, H. Fujiwara, K. Nakamura,"CMOS Op-amp Offset Calibration Technique Using a Closed Loop Offset Amplifier and Compact Resistor String DAC", 2011 International Conference on Solid State Devices and Materials(SSDM), pp.182-183, @Nagoya Japan, 2011.9.29
32. Y. Hirakawa, A. Motomura,K. Ota,N. Mimura, K. Nakamura,"A Universal Test Structure for the Direct Measurement of the Design Margin of Even-Stage Ring Oscillators with CMOS Latch", IEEE International Conference on Microelectronic Test Structures (ICMTS) 2012, pp.18-22, @San Diego USA, 2012.03.20
33. T. Saito, H. Okamura, M. Yamamoto, K. Nakamura,"A Ratio-Less 10-Transistor Cell and Static Column Retention Loop Structure for Fully Digital SRAM", 2012 4th IEEE International Memory Workshop (IMW), @Milano Italy, DOI:10.1109/IMW.2012.6213677, 2012.05.29
34. H. Okamura, T. Saito, H. Goto, M. Yamamoto, K. Nakamura,"Mosaic SRAM Cell TEGs with Intentionally-added Device Variability for Confirming the Ratio-less SRAM Operation", IEEE International Conference on Microelectronic Test Structures (ICMTS) 2013, pp.212-215, @Osaka Japan, 2013.03.28
35. T. Nishiyama, T. Koizuka, H. Okamura, T.Yamanokuchi, K. Nakamura, "A Stabilization Technique for Intermediate Power Level in Stacked-Vdd ICsusing Parallel I/O Signal Coding", 2013 International Conference on Solid State Devices and Materials(SSDM), pp.120-121, @Fukuoka Japan, Sep 26. 2013
36. T. Kondo, H. Yamamoto, H. Imi, H. Okamura, K. Nakamura, "A Measurement of Ratio-less 12-transistor SRAM cell Operation at Ultra-low Supply-voltage", 2014 International Conference on Solid State Devices and Materials(SSDM), pp.82-83, @Tsukuba Japan, Sep 10. 2014
37. Yusuke Kohara, Naoya Kubo, Mohammad Alimudin, Amirul Rahmat, Kazuyuki Nakamura, "A DC-balanced Bus-invert Coding for Stabilizing the Intermediate Power Level in Stacked-Vdd LSIs", 2015 International Conference on Solid State Devices and Materials(SSDM), pp.152-153, @Sapporo Japan, Sep 29 2015
38. Shogo Yamaguchi, Hitoshi Imi, Shogo Tokumaru, K. Nakamura,"Vth-Shiftable SRAM Cell TEGs for Direct Measurement for the immunity of the Threshold Voltage Variability", IEEE/ACM Workshop on Variability Modeling and Characterization, Poster #3, @Austin TX. USA, 2016.11.10
39. S. Yamaguchi, H. Imi, S. Tokumaru, T Kondo, H. Yamamoto, K. Nakamura,"Vth-Shiftable SRAM Cell TEGs for Direct Measurement for the immunity of the Threshold Voltage Variability", IEEE International Conference on Microelectronic Test Structures (ICMTS) 2017, pp.59-61, @Grenoble France, 2017.03.28
40. D. Nishikata, M. A. Bin Mohd Ali, K. Hosoda, H.Matsumoto, K. Nakamura,"Fully Digital Ternary Content Addressable Memory using Ratio-less SRAM Cells and Hierarchical-AND Matching Comparator for Ultra-low-voltage Operation", Extended Abstracts of the 2017 International Conference on Solid State Devices and Materials, pp339-340, @Sendai Japan, Sep 22, 2017
41. S. Yamaguchi, D. Nishikata, H. Imi, K. Nakamura,"Monte Carlo Analysis by Direct Measurement using Vth-shiftable SRAM Cell TEG", IEEE International Conference on Microelectronic Test Structures (ICMTS) 2018, @Austin(TX) USA, 2018.03.21
42. M. Kameya, C. Yang-Min, K. Nakamura,"Differential Signal Balancer Embedded in Metal Wiring Layers of Silicon LSI", Extended Abstracts of the 2019 International Conference on Solid State Devices and Materials, M-3-05, @Nagoya Japan, Sep 4, 2019

国内学会発表


1. 中村、竹島、高田、 "論理型レベル変換回路の検討", 1989年電子情報通信学会秋季全国大会、予稿集 C-149,Vol.5 p.135, Sept. 1989.
2. 中村、高田、竹島、古田、山崎、今井、大井、福田、湊、木本、"アクセス時間5ns ECLインターフェース1Mb BiCMOS SRAM", 1990年電子情報通信学会春季全国大会、予稿集 C-699, Vol.5, p.263, Mar.1990.
3. 中村、高田、竹島、古田、山崎、今井、大井、福田、湊、木本、"アクセス時間5ns ECLインターフェース1Mb BiCMOS SRAM", 電子情報通信学会集積回路研究会、信学技報Vol.90, No.50, pp.15-21, May.1990.
4. 中村、高田、竹島、古田、山崎、今井、大井、福田、湊、木本、"ECLインターフェースBiCMOS SRAM用高速レベル変換回路", 1990年電子情報通信学会秋季全国大会、予稿集 SC-10-10, Vol.5, pp.315-316, Oct.1990.
5. 小栗、中村、厚母、高田, "BiCMOS直結型ECL-CMOSレベル変換回路", 電子情報通信学会集積回路/電子デバイス合同研究会、信学技報Vol.91, No.296,pp.41-48, May.1991.
6. 中村、高田、, "BinMOS論理ゲートの低電圧・高速化手法“, 1991年電子情報通信学会秋季全国大会、予稿集 C-380, Vol.5, p.94, Sep.1991.
7. 小栗、中村、厚母、高田, "BiCMOS直結型ECL-CMOSレベル変換回路", 1991年電子情報通信学会秋季全国大会、予稿集 C-429, Vol.5, p.143, Sep.1991.
8. 厚母、小栗、中村、高田, "VEE昇圧回路内臓ECL I/O BiCMOS SRAMのレベル変換速度", 1991年電子情報通信学会秋季全国大会、予稿集 C-430, Vol.5,p.144, Sep.1991.
9. 小栗、中村、厚母、高田, "BiCMOS直結型入力バッファ", 1992年電子情報通信学会春季全国大会、予稿集 C-636, Vol.5, p.257, Mar.1992.
10. 中村、小栗、厚母、高田、池本、鈴木、西郡、山崎、 "アクセス時間6nsECL I/O 4Mb BiCMOS SRAM", 1992年電子情報通信学会春季全国大会、予稿集 C-637, Vol.5, p.258, Mar.1992.
11. 厚母、中村、小栗、高田、池本、鈴木、西郡、山崎、 "アクセス時間8ns 3.3V TTL I/O 4Mb BiCMOS SRAM", 1992年電子情報通信学会春季全国大会、予稿集 C-638, Vol.5, p.259, Mar.1992.
12. 中村、小栗、厚母、高田、池本、鈴木、西郡、山崎、 "アクセス時間6ns ECL I/O、8ns 3.3V TTL I/O 4Mb BiCMOS SRAM", 電子情報通信学会 集積回路研究会、信学技報 Vol.92, No.242, pp.73-80, Sep.1992.
13. 中村、木村、高田、"内部降圧回路と電源電圧の共振に関する検討", 1993年電子情報通信学会春季全国大会、予稿集 C-618, Vol.5, p.248, Mar.1993.
14. 鈴木、"16Mb BiCMOS SRAM対応の積層エミッタ構造バイポーラトランジスタ技術“、1993年電子情報通信学会春季全国大会, March.1993.
15. 中村、久原、木村、高田、"ビット線負荷素子の配置位置の検討", 1993年電子情報通信学会秋季全国大会、予稿集 C-464, Vol.5, p.174, Sep.1993.
16. 中村、久原、木村、高田、鈴木、吉田、山崎、"大容量・高速SRAM用PLL内部タイミング発生回路", 1994年電子情報通信学会春季全国大会、予稿集 C-688, Vol.5, p.256, March.1994.
17. 久原、中村、木村、高田、鈴木、吉田、山崎、"ウエーブパイプラインを用いた16Mb BiCMOS SRAM", 1994年電子情報通信学会春季全国大会、予稿集 C-690, Vol.5, p.258, March.1994.
18. 相本、木村、山下、真鍋、中村、山品、藤田、"メモリ集積型プロセッサIMAP LSIのメモリ部回路方式", 1994年電子情報通信学会春季全国大会、予稿集 C-693, Vol.5, p.261, March.1994.
19. 木村、山下、相本、真鍋、中村、山品、藤田、"メモリ集積型プロセッサIMAP LSIの回路方式", 1994年電子情報通信学会春季全国大会、予稿集 C-692, Vol.5, p.260, March.1994.
20. 久原、中村、木村、高田、"PLLによるクロック比例タイミング発生回路を搭載した220MHzパイプライン動作の16Mb BiCMOS SRAM", 電子情報通信学会 シリコン材料・デバイス/集積回路合同研究会 信学技報 Vol.94, No.75、pp.69-76, May.1994.
21. 中村、久原、木村、高田、鈴木、吉田、山崎、"ワード線リセットイコライズによる、大容量SRAMの高速化技術", 1994年電子情報通信学会秋季全国大会、予稿集 C-514, Vol.5, p.192, Sept.1994.
22. 木村、山下、相本、中村、真鍋、藤田、岡崎、山品、"メモリ集積型プロセッサIMAP-LSI", 電子情報通信学会 集積回路研究会 信学技報 Vol.94,No.203,pp.9-16, Aug.1994.
23. 山下、藤田、木村、中村、岡崎、"メモリ集積型プロセッサIMAP-2", 情報処理学会 計算機アーキテクチャ研究会July.1994.
24. 武田、久原、豊島、中村、鈴木、吉田、山崎、"6ns 1.5V 4Mb BiCMOSSRAMの低電圧回路技術", 1996年電子情報通信学会総合大会、 March.1996.
25. 中村、Horowitz、"低重心コーディングによるLSIインターフェースのノイズ半減化“、1996年電子情報通信学会ソサエティ大会、予稿集 C-464, Vol.5,p.174, Sept.1996.
26. 武田、中村、豊島、野田、大窪、内田、清水、井谷、渡嘉敷、岸本、"500MHzパイプラインバースト動作の4Mb CMOS SRAM -チップアーキテクチャと内部SRAM部の設計―“、1997年電子情報通信学会総合大会、予稿集 C-12-96,p.232, March.1997.
27. 中村、武田、豊島、野田、大窪、内田、清水、井谷、渡嘉敷、岸本、"500MHzパイプラインバースト動作の4Mb CMOS SRAM -I/O部の設計と評価―“、1997年電子情報通信学会総合大会、予稿集 C-12-97, p.233, March.1997.
28. 武田、中村、豊島他、”500MHz動作4Mb CMOSキャッシュSRAM”, 電子情報通信学会 集積回路研究会 信学技報 Oct,1997.
29. 深石、中村他、”4.25Gbps CMOSファイバチャネルトランシーバLSI”、1998年電子情報通信学会全国大会、March.1998.
30. 深石、中村他、”4.25Gbps CMOSファイバチャネルトランシーバLSI”, 電子情報通信学会 集積回路研究会 信学技報 Jun,1998.
31. 中村、深石、四柳他、”位相比較機能を有する6Gbps動作のCMOS DEMUXモジュール”, 電子情報通信学会 集積回路研究会 信学技報 Aug,1998.
32. 中村、深石、四柳他、”位相比較機能を有する6Gbps動作のCMOS DEMUXモジュール”、1998年電子情報通信学会ソサエティ大会、Oct.1998.
33. 永里、中村、深石、四柳他、”非同期ツリー型構造を用いた5Gbps動作のCMOS 1:8 DEMUX回路”、1998年電子情報通信学会ソサエティ大会、Oct.1998.
34. 中村、深石、永里、四柳、”高速PLLにおける回路遅延による位相余裕の劣下とその影響”、1999年電子情報通信学会総合大会、Mar.1999.
35. 深石、中村、四柳他、” 20Gb/s CMOSマルチチャンネル送信、受信LSI(1)”、2000年電子情報通信学会総合大会、Mar.2000.
36. 中村、深石、四柳他、” 20Gb/s CMOSマルチチャンネル送信、受信LSI(2)”、2000年電子情報通信学会総合大会、Mar.2000.
37. P. Lenoir、中村、” LSI上の配線におけるインダクタンス効果を組み込んだRC等価遅延モデリング”, 電子情報通信学会 集積回路研究会 信学技報 May,2000.
38. 中村 他、”相補位相ブレンド方式によるデューティ50%補償リピータ”, 電子情報通信学会 集積回路研究会 信学技報 Aug,2000.
39. 山口、中村他、”CMOS高速クロック&データ リカバリ回路の開発”、2000年電子情報通信学会ソサエティ大会、Oct.2000.
40. 中村他、” 相補位相ブレンド方式によるデューティ50%補償リピータ”、2000年電子情報通信学会ソサエティ大会、Oct.2000.
41. 高宮、中村、”オンチップデカップリング用MOSゲート容量のゲート長の検討”、2001年電子情報通信学会総合大会、Mar.2001.
42. 中村、Lenoir、” LSI上の配線におけるインダクタンス効果を組み込んだRC等価遅延モデリング”、2001年電子情報通信学会総合大会、Mar.2001.
43. 山口、中村他、” フィードバック制御不要で多相化可能な2.5GHz-4相クロック発生回路の開発”、2001年電子情報通信学会総合大会、Mar.2001.
44. 福本、中村他、"カスコードオペアンプのダイナミックレンジを最大化するバイアス回路の設計"、2001年電子情報通信学会ソサエティ大会、C-12-30,Sep.2001.
45. 中村、" CMOS超高帯域LSI間通信回路技術"、2001年システムLSIワークショップ、チュートリアル講演、Nov.2001.
46. 武田、中村 他、"4Mb無負荷型4トランジスタSRAMマクロのBIST方式", 電子情報通信学会 集積回路研究会 信学技報 Dec.2001.
47. 高宮、中村他、"シグナルインテグリティ評価用100-GSa/sサンプリングオシロスコープマクロの設計と評価"、2002年電子情報通信学会総合大会、C-12-6,Mar.2002.
48. 小池、中村他、"メモリセル読み出し電圧に着目したFeRAM評価方法"、2002年電子情報通信学会総合大会、C-12-54,Mar.2002.
49. 畠山、中村他、"OIP (Optical-interconnection as IP of a CMOS Library)による3.125Gbit/s/port 16×16光I/Oクロスポイントスイッチ"、2002年電子情報通信学会総合大会、B-10-77,Mar.2002.
50. 中村、"LSI間のTbps通信を目指すスーパーパラレルリンク技術の概要"、2002年回路とシステム(軽井沢)ワークショップ、Ba1-3-1,Apr.2002.
51. 高宮, 水野, 中村, "シグナルインテグリティ評価用100-GSa/sサンプリングオシロスコープマクロの設計と評価," 電子情報通信学会、信学技報, ICD2002-32, pp. 43-48,金沢,2002年5月
52. 中村、"大規模不揮発メモリLSIのアナログビットマップ解析と画像処理の適用"、2002年電子情報通信学会ソサエティ大会、C-12-27,Sep.2002.
53. 高宮, 水野, 中村, "シグナルインテグリティ評価用100-GSa/sサンプリングオシロスコープマクロの設計と評価(II)," 電子情報通信学会エレクトロニクスソサイエティ大会, C-12-12,宮崎,2002年9月.
54. 矢野,小池,中村、"大規模不揮発メモリLSIのアナログビットマップ解析システム",2002年システムLSIワークショップ ポスターセッション 2002年11月
55. 中村,矢野,小池,"大規模不揮発メモリLSIのアナログビットマップ解析システム",電子情報通信学会 集積回路研究会 信学技報 2003年4月
56. 斉藤,中村,"設計ルールの変更に短時間で対応可能な基本ゲートライブラリ及びSRAMマクロの自動合成ツールの開発",2002年システムLSIワークショップ ポスターセッション 2002年11月
57. Quin Hui, T. Sasao, M. Matsuura, S. Nagayama, K. Nakamura, Y. Iguchi,"Realization of Multiple-output functions by sequential Look-up Table Cascades,",電子情報通信学会、VLSI設計技術研究会, VLD2003-127, pp.13-18, 横浜(2004-01).
58. 白木,中村,"LSI間高速通信用4値I/O回路の設計",2004年電子情報通信学会ソサエティ大会、C-12-6,Sep.2004.
59. 岩本,斉藤、中村,"回路-レイアウト融合設計法によるSRAM自動設計に関する研究",第57回電気関係学会九州支部連合大会、Sep.2004.
60. 田中,吉住,中村,笹尾,松浦,Qin,井口,"LUTカスケードアーキテクチャによるプログラム可能LSIの開発,",電子情報通信学会、第2種研究会・第8回システムLSIワークショップ, ポスターセッション, 2004年11月30日, pp.315-318.
61. 松本,永田,小池,中村,"回路シミュレータSPICEのマルチコア化の検討", システムLSIワークショップ2007, 2007.11.20.
62. 中村,"CMOS不揮発メモリとその設計法に関する研究開発及び事業化", 電子情報通信学会全国大会, 2008.3.21.
63. 野田、小池、中村, "SRAM SNM 評価用ユニバーサルインバータTEG の設計と評価", 電子情報通信学会2008ソサイエティ大会, 2008.9.16.
64. 浅野、小池、中村, "CMOS 偶数段リング発振回路の発振条件の検討", 電子情報通信学会2008ソサイエティ大会, 2008.9.19.
65. 野田、小池、中村, "直接多項式フィッティングに基づく SRAM スタティックノイズマージンの評価", 電子情報通信学会2009総合大会, 2009.3.17.
66. 野田、齋藤、中村, "ユニバーサルSRAM TEGによるSRAM動作マージンの評価", 電子情報通信学会2009総合大会, 2009.3.17.
67. 小原、浅野、小池、中村, "CMOS偶数段リング発振回路の最適設計条件の検討", 電子情報通信学会2009総合大会, 2009.3.17.
68. 齋藤、野田、小池、中村, "ユニバーサルSRAMセルインバータTEG測定および直接多項式フィッティングに基づくスタティックノイズマージン評価手法", LSIとシステムのワークショップ2009, 2009.5.19.
69. 小原、川上、小池、中村, "複数個のラッチを有する偶数段リング発振回路の検討", 電子情報通信学会 2009 ソサイエティ大会, 2009.9.17
70. 小原、平川、中村, "片チャネルラッチ構成の偶数段リング発振回路の検討", 電子情報通信学会 2010 総合大会, 2010.3.16
71. 平川、小原、川上、中村、"複数個のラッチを有するCMOS偶数段リング発振回路の最適設計",LSIとシステムのワークショップ 2010 , 2010.5.18
72. 三村、平川、中村、"電源遷移時間を考慮した偶数段リング発振回路発振領域の検討", 電子情報通信学会 2011総合大会, C-12-51, 2011.3.15
73. 平川、本村、三村、中村、"CMOS偶数段リング発振回路の設計マージンの測定", 電子情報通信学会 2011総合大会,C-12-56, 2011.3.15
74. 森本浩之、小池洋紀、中村、"高速高信頼性の一線シリアルI/Fを用いた製造後補正可能な三端子レギュレーター",LSIとシステムのワークショップ 2011, 2011.5.17
75. 森本浩之、後藤弘明、藤原宗、中村、"省面積抵抗ストリングDAC と閉ループ・オフセット検出を用いたCMOS オペアンプのオフセット校正"、デザインガイア2011, 2011.11.28
76. 太田恒平,平川豊,本村綾美,三村法寛,中村和之、"CMOS偶数段リング発振回路における設計マージン 測定用ユニバーサルTEGの開発”,LSIとシステムのワークショップ 2012, 2012.5.28
77. 西山智史,山之口誠将,中村和之、"コーディング法を用いた電源スタック型回路の中間電位安定化”,LSIとシステムのワークショップ 2012, 2012.5.28
78. 山本裕允、齋藤貴彦、岡村均、中村和之、"Ratio-less 10Tr-SRAMセルとColumn Retention Loop構造による完全デジタルSRAMの設計及び評価", LSIとシステムのワークショップ 2013 (ICD優秀ポスター賞), 2013.5.13
79.久保直也,肥塚大輝,西山智史,山之口誠将,中村和之、"コーディング法による電源スタック型回路の中間電位安定化に関する評価チップの開発と測定による性能実証"、LSIとシステムのワークショップ 2014, 2014.5.26
80. 伊見仁,徳丸翔吾,岡村均,中村 和之、"CMOS SRAMセルのしきい値電圧ばらつき耐性評価用TEGの設計及び評価"、LSIとシステムのワークショップ 2014, 2014.5.26
81. 近藤 敬宏,山本 裕允,法華津 智子,伊見 仁,岡村 均,中村 和之、"12トランジスタ完全相補型レシオレスSRAMの低電圧動作特性"、LSIとシステムのワークショップ 2015, 2015.5.11-12
82. 松本 浩,細田 健斗,西方 大輔,山口 翔吾,中村 和之、"レイアウト設計・レイアウト検証におけるフリーツール代用化に関する研究"、LSIとシステムのワークショップ 2017, 2017.5.15
83. 中野 裕次,松本 浩,中村 和之、"小規模SRAM回路のフリーツールによるレイアウト設計及び検証"、LSIとシステムのワークショップ 2018, 2018.5.14
84. 鳩野 友理,大西 浩輝,細田 健斗,馬場 昭好,中村 和之、"シリコン再プロセス技術によるウエハへのチップ再固定と配線形成"、LSIとシステムのワークショップ 2019, 2018.5.14

一般寄稿、その他


1. H.Suzuki, T.Nishigori, T.Yamazaki, K.Nakamura,. T.Oguri, T.Atsumo,M.Takada and A.Ikemoto,"A Stacked Emitter Polysilicon (STEP) Bipolar Technology for 16Mb BiCMOS SRAMs", NEC Research & Development, Vol.34, No.1, pp.57-63, Jan. 1993
2. M.Fukaishi, K.Nakamura, M.Yotsuyanagi, "High-Speed and High-Data-Bandwidth Transmitter and Receiver for Multi-Channel Serial Data Communication with CMOS Technology",International Journal of High Speed Electronics & Systems, Vol.11, No.2, pp.1-33,(World Scientific Publishing Co.), March 2001.
3. 中村和之, "標準CMOSプロセスで実現可能な新規不揮発メモリの研究開発",九州工業大学第2回東京シンポジウム, April 27, 2006.
4. 中村和之, "耐素子ばらつき・超低消費電力のレシオレスSRAM回路",イノベーション・ジャパン2013〜大学見本市&ビジネスマッチング〜、@東京ビッグサイト, 2013 8/29-30.
5. K.Nakamura,"Dependable Circuit Design Based on Inverters and SRAMs", 2015 Taiwan Tech and Kyutech Workshop on Advanced VLSI Design Technologies, Keynote Speech, Mar 5. 2015


 九州工業大学  マイクロ化総合技術センター 中村和之
Copyright(c) 2001-2019 by Kazuyuki Nakamura.
All rights reserved.